Fast and Near-Optimal Timing-Driven Cell Sizing under Cell Area and Leakage Power Constraints Using a Simplified Discrete Network Flow Algorithm

المؤلفون المشاركون

Ren, Huan
Dutt, Shantanu

المصدر

VLSI Design

العدد

المجلد 2013، العدد 2013 (31 ديسمبر/كانون الأول 2013)، ص ص. 1-15، 15ص.

الناشر

Hindawi Publishing Corporation

تاريخ النشر

2013-05-07

دولة النشر

مصر

عدد الصفحات

15

التخصصات الرئيسية

العلوم الهندسية و تكنولوجيا المعلومات

الملخص EN

We propose a timing-driven discrete cell-sizing algorithm that can address total cell size and/or leakage power constraints.

We model cell sizing as a “discretized” mincost network flow problem, wherein available sizes of each cell are modeled as nodes.

Flow passing through a node indicates the choice of the corresponding cell size, and the total flow cost reflects the timing objective function value corresponding to these choices.

Compared to other discrete optimization methods for cell sizing, our method can obtain near-optimal solutions in a time-efficient manner.

We tested our algorithm on ISCAS’85 benchmarks, and compared our results to those produced by an optimal dynamic programming- (DP-) based method.

The results show that compared to the optimal method, the improvements to an initial sizing solution obtained by our method is only 1% (3%) worse when using a 180 nm (90 nm) library, while being 40–60 times faster.

We also obtained results for ISPD’12 cell-sizing benchmarks, under leakage power constraint, and compared them to those of a state-of-the-art approximate DP method (optimal DP runs out of memory for the smallest of these circuits).

Our results show that we are only 0.9% worse than the approximate DP method, while being more than twice as fast.

نمط استشهاد جمعية علماء النفس الأمريكية (APA)

Ren, Huan& Dutt, Shantanu. 2013. Fast and Near-Optimal Timing-Driven Cell Sizing under Cell Area and Leakage Power Constraints Using a Simplified Discrete Network Flow Algorithm. VLSI Design،Vol. 2013, no. 2013, pp.1-15.
https://search.emarefa.net/detail/BIM-474437

نمط استشهاد الجمعية الأمريكية للغات الحديثة (MLA)

Ren, Huan& Dutt, Shantanu. Fast and Near-Optimal Timing-Driven Cell Sizing under Cell Area and Leakage Power Constraints Using a Simplified Discrete Network Flow Algorithm. VLSI Design No. 2013 (2013), pp.1-15.
https://search.emarefa.net/detail/BIM-474437

نمط استشهاد الجمعية الطبية الأمريكية (AMA)

Ren, Huan& Dutt, Shantanu. Fast and Near-Optimal Timing-Driven Cell Sizing under Cell Area and Leakage Power Constraints Using a Simplified Discrete Network Flow Algorithm. VLSI Design. 2013. Vol. 2013, no. 2013, pp.1-15.
https://search.emarefa.net/detail/BIM-474437

نوع البيانات

مقالات

لغة النص

الإنجليزية

الملاحظات

Includes bibliographical references

رقم السجل

BIM-474437