AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Author

Vipin, Kizheppatt

Source

International Journal of Reconfigurable Computing

Issue

Vol. 2019, Issue 2019 (31 Dec. 2019), pp.1-9, 9 p.

Publisher

Hindawi Publishing Corporation

Publication Date

2019-02-20

Country of Publication

Egypt

No. of Pages

9

Main Subjects

Information Technology and Computer Science

Abstract EN

Binary tree topology generally fails to attract network on chip (NoC) implementations due to its low bisection bandwidth.

Fat trees are proposed to alleviate this issue by using increasingly thicker links to connect switches towards the root node.

This scheme is very efficient in interconnected networks such as computer networks, which use generic switches for interconnection.

In an NoC context, especially for field programmable gate arrays (FPGAs), fat trees require more complex switches as we move higher in the hierarchy.

This restricts the maximum clock frequency at which the network operates and offsets the higher bandwidth achieved through using fatter links.

In this paper, we discuss the implementation of a binary tree-based NoC, which achieves better bandwidth by varying the clock frequency between the switches as we move higher in the hierarchy.

This scheme enables using simpler switch architecture, thus supporting higher maximum frequency of operation.

The effect on bandwidth and resource requirement of this architecture is compared with other FPGA-based NoCs for different network sizes and traffic patterns.

American Psychological Association (APA)

Vipin, Kizheppatt. 2019. AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation. International Journal of Reconfigurable Computing،Vol. 2019, no. 2019, pp.1-9.
https://search.emarefa.net/detail/BIM-1168499

Modern Language Association (MLA)

Vipin, Kizheppatt. AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation. International Journal of Reconfigurable Computing No. 2019 (2019), pp.1-9.
https://search.emarefa.net/detail/BIM-1168499

American Medical Association (AMA)

Vipin, Kizheppatt. AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation. International Journal of Reconfigurable Computing. 2019. Vol. 2019, no. 2019, pp.1-9.
https://search.emarefa.net/detail/BIM-1168499

Data Type

Journal Articles

Language

English

Notes

Includes bibliographical references

Record ID

BIM-1168499