Multithreshold MOS Current Mode Logic Based Asynchronous Pipeline Circuits

Joint Authors

Pandey, Neeta
Gupta, Kirti
Gupta, Maneesha

Source

ISRN Electronics

Issue

Vol. 2012, Issue 2012 (31 Dec. 2012), pp.1-7, 7 p.

Publisher

Hindawi Publishing Corporation

Publication Date

2012-12-05

Country of Publication

Egypt

No. of Pages

7

Main Subjects

Electronic engineering

Abstract EN

Multithreshold MOS Current Mode Logic (MCML) implementation of asynchronous pipeline circuits, namely, a C-element and a double-edge triggered flip-flop is proposed.

These circuits use multiple-threshold MOS transistors for reducing power consumption.

The proposed circuits are implemented and simulated in PSPICE using TSMC 0.18 μm CMOS technology parameters.

The performance of the proposed circuits is compared with the conventional MCML circuits.

The results indicate that the proposed circuits reduce the power consumption by 21 percent in comparison to the conventional ones.

To demonstrate the functionality of the proposed circuits, an asynchronous FIFO has also been implemented.

American Psychological Association (APA)

Gupta, Kirti& Pandey, Neeta& Gupta, Maneesha. 2012. Multithreshold MOS Current Mode Logic Based Asynchronous Pipeline Circuits. ISRN Electronics،Vol. 2012, no. 2012, pp.1-7.
https://search.emarefa.net/detail/BIM-478980

Modern Language Association (MLA)

Gupta, Kirti…[et al.]. Multithreshold MOS Current Mode Logic Based Asynchronous Pipeline Circuits. ISRN Electronics No. 2012 (2012), pp.1-7.
https://search.emarefa.net/detail/BIM-478980

American Medical Association (AMA)

Gupta, Kirti& Pandey, Neeta& Gupta, Maneesha. Multithreshold MOS Current Mode Logic Based Asynchronous Pipeline Circuits. ISRN Electronics. 2012. Vol. 2012, no. 2012, pp.1-7.
https://search.emarefa.net/detail/BIM-478980

Data Type

Journal Articles

Language

English

Notes

Includes bibliographical references

Record ID

BIM-478980