Open SystemC Simulator with Support for Power Gating Design

Joint Authors

Brito, Alisson V.
Silveira, George Sobral
Melcher, Elmar U. K.
Oliveira, Helder F. de A.

Source

International Journal of Reconfigurable Computing

Issue

Vol. 2012, Issue 2012 (31 Dec. 2012), pp.1-8, 8 p.

Publisher

Hindawi Publishing Corporation

Publication Date

2012-12-30

Country of Publication

Egypt

No. of Pages

8

Main Subjects

Information Technology and Computer Science

Abstract EN

Power gating is one of the most efficient power consumption reduction techniques.

However, when applied in several different parts of a complex design, functional verification becomes a challenge.

Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF).

The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design.

This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL.

The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate.

Two case studies are presented to demonstrate the new features of that simulator.

American Psychological Association (APA)

Silveira, George Sobral& Brito, Alisson V.& Oliveira, Helder F. de A.& Melcher, Elmar U. K.. 2012. Open SystemC Simulator with Support for Power Gating Design. International Journal of Reconfigurable Computing،Vol. 2012, no. 2012, pp.1-8.
https://search.emarefa.net/detail/BIM-498554

Modern Language Association (MLA)

Silveira, George Sobral…[et al.]. Open SystemC Simulator with Support for Power Gating Design. International Journal of Reconfigurable Computing No. 2012 (2012), pp.1-8.
https://search.emarefa.net/detail/BIM-498554

American Medical Association (AMA)

Silveira, George Sobral& Brito, Alisson V.& Oliveira, Helder F. de A.& Melcher, Elmar U. K.. Open SystemC Simulator with Support for Power Gating Design. International Journal of Reconfigurable Computing. 2012. Vol. 2012, no. 2012, pp.1-8.
https://search.emarefa.net/detail/BIM-498554

Data Type

Journal Articles

Language

English

Notes

Includes bibliographical references

Record ID

BIM-498554