Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors

Joint Authors

Jóźwiak, Lech
Jan, Yahya

Source

VLSI Design

Issue

Vol. 2012, Issue 2012 (31 Dec. 2012), pp.1-20, 20 p.

Publisher

Hindawi Publishing Corporation

Publication Date

2012-03-25

Country of Publication

Egypt

No. of Pages

20

Main Subjects

Engineering Sciences and Information Technology

Abstract EN

This paper is devoted to the design of communication and memory architectures of massively parallel hardware multiprocessors necessary for the implementation of highly demanding applications.

We demonstrated that for the massively parallel hardware multiprocessors the traditionally used flat communication architectures and multi-port memories do not scale well, and the memory and communication network influence on both the throughput and circuit area dominates the processors influence.

To resolve the problems and ensure scalability, we proposed to design highly optimized application-specific hierarchical and/or partitioned communication and memory architectures through exploring and exploiting the regularity and hierarchy of the actual data flows of a given application.

Furthermore, we proposed some data distribution and related data mapping schemes in the shared (global) partitioned memories with the aim to eliminate the memory access conflicts, as well as, to ensure that our communication design strategies will be applicable.

We incorporated these architecture synthesis strategies into our quality-driven model-based multi-processor design method and related automated architecture exploration framework.

Using this framework, we performed a large series of experiments that demonstrate many various important features of the synthesized memory and communication architectures.

They also demonstrate that our method and related framework are able to efficiently synthesize well scalable memory and communication architectures even for the high-end multiprocessors.

The gains as high as 12-times in performance and 25-times in area can be obtained when using the hierarchical communication networks instead of the flat networks.

However, for the high parallelism levels only the partitioned approach ensures the scalability in performance.

American Psychological Association (APA)

Jan, Yahya& Jóźwiak, Lech. 2012. Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors. VLSI Design،Vol. 2012, no. 2012, pp.1-20.
https://search.emarefa.net/detail/BIM-498702

Modern Language Association (MLA)

Jan, Yahya& Jóźwiak, Lech. Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors. VLSI Design No. 2012 (2012), pp.1-20.
https://search.emarefa.net/detail/BIM-498702

American Medical Association (AMA)

Jan, Yahya& Jóźwiak, Lech. Communication and Memory Architecture Design of Application-Specific High-End Multiprocessors. VLSI Design. 2012. Vol. 2012, no. 2012, pp.1-20.
https://search.emarefa.net/detail/BIM-498702

Data Type

Journal Articles

Language

English

Notes

Includes bibliographical references

Record ID

BIM-498702